湘潭大学计算机组成与原理存储器实验(rom)实验报告.pdfVIP

湘潭大学计算机组成与原理存储器实验(rom)实验报告.pdf

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
湘 潭 大 学 实 验 报 告 湘 潭 大 学 实 验 报 告 课程名称 计算机原理与设计 实验名称 存储器实验(rom) 页数 课程名称 计算机原理与设计 实验名称 存储器实验(rom) 页数 专业 班级 同组者姓名 无 专业 班级 同组者姓名 无 组别 学号 姓名 实验日期 组别 学号 姓名 实验日期 一、 实验目的 一、 实验目的 1、掌握 FPGA 中 ROM 的设置,作为只读存储器 ROM 的⼯作特性和配置 1、掌握 FPGA 中 ROM 的设置,作为只读存储器 ROM 的⼯作特性和配置 ⽅法。 ⽅法。 2、⽤⽂本编辑器编辑 mif ⽂件配置 ROM ,学习将程序代码以 mif 格式⽂ 2、⽤⽂本编辑器编辑 mif ⽂件配置 ROM ,学习将程序代码以 mif 格式⽂ 件加载于 ROM 中; 件加载于 ROM 中; 3、在初始化存储器编辑窗⼜编辑 mif ⽂件配置 ROM; 3、在初始化存储器编辑窗⼜编辑 mif ⽂件配置 ROM; 4、验证 FPGA 中 ROM 的功能。 4、验证 FPGA 中 ROM 的功能。 二、 实验要求 二、 实验要求 (1)实验前认真复习 ROM 存储器部分的有关内容。 (1)实验前认真复习 ROM 存储器部分的有关内容。 (2)记录实验数据,写出实验报告,给出仿真波形图 。 (2)记录实验数据,写出实验报告,给出仿真波形图 。 (3)通过本实验 ,对FPGA 中EAB构成的ROM存储器有何认识,有什么收 (3)通过本实验 ,对FPGA 中EAB构成的ROM存储器有何认识,有什么收 获? 获? 三、 实验原理 三、 实验原理 ALTERA 的 FPGA 中有许多可调⽤的模块库,可构成如 rom、ram、fifo 等存储 ALTERA 的 FPGA 中有许多可调⽤的模块库,可构成如 rom、ram、fifo 等存储 器结构。CPU 中的重要部件,如 RAM、ROM 可直接调⽤他们构成,因此在 FPGA 器结构。CPU 中的重要部件,如 RAM、ROM 可直接调⽤他们构成,因此在 FPGA 中利⽤嵌⼊式阵列块 EAB 可以构成各种结构的存储器,ROM 是其中的⼀种。 中利⽤嵌⼊式阵列块 EAB 可以构成各种结构的存储器,ROM 是其中的⼀种。 ROM 有 5 组信号:地址信号 address[ 、数据信号 q[

您可能关注的文档

文档评论(0)

萧关逢候骑 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档