8421BCD码与余三码的相互转换.docVIP

  1. 1、本文档共19页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
8421BCD码与余三码的相互转换

《数字逻辑电路》课程设计 报 告 书 题 目 名 称: 余三码和8421BCD码相互转化的逻辑电路 学 院: 机电工程学院 专 业: 电子信息工程 班 级: 2016级1班 学 号: 1X01131XXX 姓 名: XXX 指 导 教 师: XXX 2018年6月 课程设计报告书 设 计 目 的 1.掌握组合逻辑电路的基本概念与结构。 2.认识基本门电路74LS08、74LS32、74LS04、74LS48、74LS27、74LS86的各端口,并能够正确的使用。 3.了解8421BCD码转换成余3码及余3码转换成8421BCD码的工作原理,调试及故障排除方法。 4.掌握芯片间的逻辑关系,准确的进行连线。 设计 内容 及 功能 说明 设计 内容 及 功能 说明 设计内容: 使用“与”门(74LS08)、“或”门(74LS32)、非门(74LS04)、七段数码管译码器驱动器(74LS48)、三输入“或”门74LS27、“异或门”74LS86,设计8421BCD码转换成余3码及余3码转换成8421BCD码。 根据题意,要将8421BCD码转换成余3码及余3码转换成8421BCD码就必须得根据转换的规则来实现。其中8421BCD码转换成余三码时,8421BCD码有0000—0110七种输入,另外有1101—1111是3种输入,这三种输入转换成余三码后用单个数码管无法进行显示;余3码转换成8421BCD码时,余三码有0011—1111十三种输入,另外有0000—0010是三种输入单一数码管无法显示的,因此我们可以用这些无关小项来化简逻辑函数,从而得到优化的逻辑电路,正确的完成设计的要求。 功能说明: 集成电路名称及引脚符号 74LS08与门 74LS32或门 74LS04非门 74LS27三输入“或”门 74LS48七段数码管译码器驱动器 设 计 步 骤 设 计 步 骤 设 计 步 骤 设 计 步 骤 设 计 步 骤 设 计 步 骤 设计过程: 逻辑假设 假设外部的四个输入分别为A,B,C,D;8个输出分别为O3,O2,O1,O0,Y3,Y2,Y1,Y0。当接高电平时假设为“1”,当接低电平时设为“0”。 2.写出真值表 根据题意,设计的转换器有4个外部输入A,B,C,D和8个外部输出O3,O2,O1,O0,Y3,Y2,Y1,Y0,输入和输出的逻辑关系如下所示。 “8421BCD码转余3码”真值表 A B C D O3 O2 O1 O0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 0 0 1 1 1 0 1 0 1 1 0 0 0 0 1 1 0 1 0 0 1 0 1 1 1 d d d d 1 0 0 0 d d d d 1 0 0 1 d d d d 1 0 1 0 d d d d 1 0 1 1 d d d d 1 1 0 0 d d d d 1 1 0 1 d d d d 1 1 1 0 d d d d 1 1 1 1 d d d d “余3码转8421BCD码”真值表 A B C D Y3 Y2 Y1 Y0 0 0 0 0 d d d d 0 0 0 1 d d d d 0 0 1 0 d d d d 0 0 1 1 0 0 0 0 0 1 0 0 0 0 0 1 0 1 0 1 0 0 1 0 0 1 1 0 0 0 1 1 0 1 1 1 0 1 0 0 1 0 0 0 0 1 0 1 1 0 0 1 0 1 1 0 1 0 1 0 0 1 1 1 1 0 1 1 1 0 0 0 1 1 0 0 1 0 0 1 1 1 0 1 d d d d 1 1 1 0 d d d d 1 1 1 1 d d d d 3.写出逻辑函数表达式 根据输出和输入的逻辑关系真值表得到如下逻辑函数表达式。 “8421BCD码转余3码”: “余3码转8421BCD码”: 通过卡诺图化简逻辑函数A,B,C和D,画出逻辑

文档评论(0)

zsmfjy + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档