PLC简易电子计算器设计.doc

  1. 1、本文档共54页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
SHAN COLLEGE OF FUJIAN AGRICULTURE AND FORESTRY UNIVERSITY 设 计 任 务 书 《可编程控制器》课程设计 设 计 题 目 : 电子计算器设计 学 院: 金山学院 学 号: 专业(方向)年级: 11 级电气工程及其自动化 名: 福建农林大学金山学院信息与机电工程系 2 0 1 4 年 6 月 1 日 可编程控制器课程设计任务书 ( 一 ) 设计题目 电子计算器设计 (二) 情况简介 在人们日常生活中,买东西、记账、学习等等,凡是与数有关的,我们都可 以用到计算器,可见计算器真的很重要。本文中基于 PLC 设计的电子计算器比传 统计算器更可靠,更方便,性能更好,更容易适应当今高速发展的社会,大慷慨 便了人们的生活。 这次设计中,将选用西门子 S7-200 系列 PLC, 通过梯形图和编程指令两种 手段来实现简易计算器的加减乘除功能。基于PLC 设计的电子计算器能够完成简 单的加减乘除功能,并能够用七段数码管显示相应的数字及结果,同时也能指示 相应的运算类型。 该计算器将采用梯形图编写来实现4 位数范围内的加减乘除基本的四则运 算。PLC 是一个可进行数模、模数转换,可进行定位控制等一个功能强大的工业 控制器,具有高可靠性,以它为基础制作的计算器将具有很高的可靠性。 (三) 设计要求 1. 由按键输入的数值显示在7段数码管上,但只限4位数。 2. 按加、减、乘、除键时,第一次输入的值被存放在缓冲区中,当做被加、减、 乘、除数,且加、减、乘、除相对的运算指示灯会亮。 3. 接着输入一个数,之后若是按下“=”键,则此加、减、乘、除数被存放于另 一个缓冲区中,与刚才输入的数做运算,且相对应的运算指示灯熄灭。 4. 将运算结果显示在7段数码管上。 (四) 设计步骤 1. 查找资料,了解和分析题目所要求具体工程项目控制的过程。 2. 确定 I/0 点数,选择PLC 的型号,并根据需要进行硬件系统配置。 3. 绘制外部I/0 接线图及相关的电气原理图。 4. 编程。 5. 调试。 6. 编写设计说明书。 (五) 设计说明书要求 ①.完整的设计任务书。 ②. 确 定 I/0 点数,选择PLC 的型号,完成系统组态或者硬件配置。 ③.正确合理地进行编程元件的地址分配。 ④.画出输入/输出接线图及相关电气原理图。。 ⑤.设计梯形图控制程序。 ⑥.编制系统的操作说明。 ⑦.编制系统的调试说明及注意事项。 ⑧. 设计体味(可选) . ⑨参考文献. (六) 列出设计参考资料目录设计时间 2022 年 6 月 2 日至 2022 年 6 月 15 日 ( 2 周 ) 指导教师张 翠 云 NSHAN COLLEGE OF FUJIAN AGRICULTURE AND FORESTRY UNIVERSITY 设 计 说 明 书 《可编程控制器》课程设计 设 计 题 目 : 电子计算器设计 学 院: 金山学院 学 号: 116711101 专业(方向)年级: 11 级电气工程及其自动化 学 生 姓 名: 胡亚楠 福建农林大学金山学院信息与机电工程系 2022年6月 1 日 1 目录 1、 引言 2 1.1 背景 2 1.2 设计内容及目的 2 2、 系统总体方案设计 2 2.1 系统硬件配置及组成原理 2 2.1.1 系统硬件配置 2 2.1.2 PLC系统的结构组成 3 2.1.3 电子计算器组成原理 3 2.2 系统变量定义及分配表 3 2.3 系统接线图设计 4 3、 控制系统程序设计 6 3.1 控制程序流程图设计 6 3.2 控制系统的设计思路及设计程序 6 3.3 创新设计内容 10 4、 控制系统的上位机设计 11 4.1 人机界面选择 11 4.2 人机界面设计 11 4.2.1 通讯连接 11 4.2.2 变量设置 12 4.2.3 画面组态 12 5、 系统调试及结果分析

文档评论(0)

188****3111 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

认证主体王**

1亿VIP精品文档

相关文档

相关课程推荐