eda脉冲宽度测量仪电路设计报告.pdf

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
湖南涉外经济学院 课程设计报告 课程名称: EDA 技术与应用 报告题目: 脉冲宽度测量仪电路设计 学生姓名: 所在学院: 信息科学与工程学院 专业班级: 电信 1301 学生学号: 134110145 指导教师: 罗志年 李刚 2015 年 12 月 31 日 EDA 课程设计任务书 报告题目 脉冲宽度测量仪电路设计 完成时间 专 业 电 信 罗志年 副教授 学生姓名 指导教师 职称 班级 1301 班 李 刚 讲师 设计目的 采用 EDA 技术,设计一个能测量脉冲信号宽度的系统。系统图如下: P_IN 脉冲边沿检测 计数器 译码显示 CLK1 CLK CLK2 时钟分频 设计内容 (1)、脉冲信号宽度的测量精度为±1ms。 (2)、脉冲信号宽度的测量范围为 0~10s。 (3)、调试过程中可以用按键模拟脉冲信号。 (4)、测量值用 5 位数码管显示(可以采用静态显示)。 (5)、输入信号为标准 TTL 电平。 (6)、调试中既可以采用正脉冲,也可以采用负脉冲(任选其一)。 (7)、必须先进行前仿真,并打印出仿真波形图。 (8)、按要求写好设计报告。 设计步骤 总体设计电路: (1)、脉冲边沿检测模块 当有检测到 P_IN 端有脉冲输入(P_IN=1)时,EN_OUT=1 输送到计数器的 EN 端。 (2)、计数器模块 计数模块是对检测到的脉冲进行计数 ,计算出脉冲的宽度。 (3)、译码显示模块 对输入的脉冲宽度进行显示出来。 (4)、时钟分频模块 对 CLK 分为 CLK1 和 CLK2 时钟信号。 工作内容及时间进度安排 共 2 周: 12 月 21 日 课题介绍,布置设计任务,学生选题 12 月 22 日 学生查阅资料、设计方案论证 12 月 23 日 程序设计与调试 12 月 24 日 程序设计与调试 12 月 25 日 程序设计与调试 12 月 28 日 硬件调试与测试、撰写课程设计报告 12 月 29 日 硬件调试与测试、撰写课程设计报告 12 月 30 日 硬件调试与测试、撰写课程设计报告 12 月 31 日 答辩 1 月 1 日 机动 课程设计成果 1.与设计内容对应的软件程序 2.课程设计总结报告 摘 要 随着我国脉冲测量仪器市场的迅猛发展,特别是十二五时期,转变经济增长 方式这一主基调的确定,与之相关的核心生产技术应用与研发必将成为业内企业 关注的焦点。技术工艺的优劣直接决定企业的市场竞争力。了解国内外脉冲测量 仪器生产核心技术的研发动向、工艺设备、技术应用对于企业提升产品技术规格, 提高市场竞争力十分关键。

文档评论(0)

tangjiansheng1 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档