基于FPGA的出租车计费系统设计报告.doc

基于FPGA的出租车计费系统设计报告.doc

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
(封面) XXXXXXX学院 题 目:基于FPGA的出租车计费系统设计 院(系): 专业班级: 学生姓名: 指导老师: 时 间: 年 月 日 PAGE PAGE 2 目 录 引言····················································2 实验任务及要求·········································2 模块功能···············································2 设计说明··············································3 实验结果···············································4 一些问题和改进·········································4 心得与体会··············································4 附录·················································· 摘要 介绍了出租车计费器系统的组成及工作原理,简述了在EDA平台上用FPGA器件构成该数字系统的设计思想和实现过程。论述了分频模块,速度获取模块,计费模块,进制转换模块,显示模块等的设计方法与技巧。 一、引言 随着EDA技术的高速发展,电子系统的设计技术发生了深刻的变化,大规模可编程逻辑器件CPLD/FPGA的出现,给设计人员带来了诸多方便。利用它进行产品开发,不仅成本低、周期短、可靠性高,而且具有完全的知识产权。本文介绍了一个以Altera公司可编程逻辑芯片cyclone2系列的EP2C35F672C6的fpga芯片为控制核心、附加一定外围电路组成的出租车计费器系统。随着社会的不断进步,人们生活水平的不断提高,出租车逐渐成为人们日常生活不可缺少的交通工具。而计价器作为出租车的一个重要组成部分,关系着出租车司机和乘客双方利益,起着重要的作用,因而出租车计价器的发展非常迅猛 二、实验任务及要求 1. 能实现计费功能,计费标准为:按行驶里程收费,起步费为10.00元,并在车行3公里后再按2元/公里,车起启动后停止等待时为每分钟1元(程序中为显示功能,设置为每10秒1元)。 2. 实现预置功能:能预置起步费、每公里收费、车行等待时间、总费用。 3. 实现模拟功能:能模拟汽车启动、停止、暂停、等状态。 4. 设计动态扫描电路:将车费显示出来,一共三位 5. 用Verilog语言设计符合上述功能要求的出租车计费器,并用层次化设计方法设计该电路。 6. 各计数器的计数状态用功能仿真的方法验证,并通过有关波形确认电路设计是否正确。 7. 完成电路全部设计后,通过系统实验箱下载验证设计的正确性。 三、模块功能 计费器按里程收费,每100米开始一次计费。各模块功能如下: (1)里程动态显示模块 其包括计数车速控制模块发出的脉冲以及将计数显示动态显示出来,每来一 个脉冲里程值加0.1(控制器每发一个脉冲代表运行了0.1公里)。 (2) 计费动态显示模块 其初值为10元,当里程超过3公里后才接受计数车速控制模块发出的脉冲的驱动,并且计数显示动态显示出来,每来一个脉冲(代表运行了0.5公里)其数值加2元,等待时每分钟加1元。 (3)等待计时模块 车载行使后,若处于停滞状态,等待时每分钟收费1元。 (4)计费模块 计算车载行使和停止状态时的费用总和。 (5)显示模块 在DE0板数码管上显示以上模块。 四:设计说明: 实际设计共五个模块,分别为分频模块(每一百米送一个脉冲以方便计数)、计算里程模块、等待计时模块、计费模块、显示模块。 详细功能如下: 分频模块:假设车轮每转一圈为2米,送一个脉冲,则需要50分频(共100米),同理,若知道车轮直径,即可算出分频比 里程模块:每一百米记一次数,最大可以计999.9公里,精确到0.1公里。 取整模块:现实中出租车的精确度为0.1公里,计算价格的时候不足一公里的按一公里算,所以加了这一个模块,输出的是计算价格时的里程数。 计费模块:按行驶里程收费,起步费为10.00元(包括2元得燃油附加费),并在车行3公里后再按2元/公里,处于等待状态时每分钟收费1元。 显示模块:通过动态扫描显示车费和里程数,将十进制数转化为四位十进制数(如将9999转化为四个9)以方便显示。 设计流程图如下 50分频器 50分频器 里程计算模块 计费

您可能关注的文档

文档评论(0)

祝星 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档