1亿高质量文档大特价,1折起啦~

VHDL入门教程课件.ppt

  1. 1、本文档共95页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
3.3.3 例化语句 3.4 计数器设计 思考题 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY shift1 IS PORT ( d: IN STD_LOGIC_VECTOR ( 7 DOWNTO 0); clr, clk, load, dir, sr, sl: IN STD_LOGIC; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)); END shift1; ARCHITECTURE arc OF shift1 IS SINGAL a: STD_LOGIC_VECTOR (7 DOWNTO 0); BEGIN q<= a; PROCESS (clr,clk) BEGIN IF (clr=‘0’) THEN a<=; ELSEIF (clk’EVENT) AND (clk=‘1’) THEN IF (load=‘0’) THEN a<=d; ELSEIF (load=‘1’) AND (dir=‘0’) THEN FOR I IN 7 DOWNTO 1 LOOP a(i) <=a (i-1); END LOOP; a(0)<= sr; ELSEIF (load=‘1’) AND(dir=‘1’) THEN FOR i IN 0 TO 6 LOOP a (i )<=a(i+1); END LOOP; a(7)<=sl; END IF; END IF; END PROCESS; END arc; 题5: 【例6-9】 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DFF3 IS PORT ( CLK,D1 : IN STD_LOGIC ; Q1 : OUT STD_LOGIC); END ; ARCHITECTURE bhv OF DFF3 IS BEGIN PROCESS (CLK) VARIABLE A,B : STD_LOGIC ; BEGIN IF CLK'EVENT AND CLK ='1' THEN A:= D1; B := A; Q1 <= B; END IF; END PROCESS ; END ; 由于A,B是变量,它们的赋值更新是立即发生的。 当3条语句顺序执行时,A和B 有了传递数据的功能。 实际执行时,在一个δ时刻内D1传给A,A 传给B,B 传给Q1。A和B只担当了D1数据的暂存单元,Q1被更新的值是上一时钟周期的D1。 D Q D1 CLK Q1 二 、信号与变量在延时特性上的区别 【例6-10】 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux4 IS PORT (i0, i1, i2, i3, a, b : IN STD_LOGIC; q : OUT STD_LOGIC); END mux4; ARCHITECTURE body_mux4 OF mux4 IS signal muxval : integer range 7 downto 0; BEGIN process(i0,i1,i2,i3,a,b) begin muxval <= 0; if (a = '1') then muxval <= muxval + 1; end if; if (b = '1') then muxval <= muxval + 2; end if; case muxval is when 0 => q <= i0; when 1 => q <= i1; when 2 => q <= i2; when 3 => q <= i3; when others => null; end case; end process; END body_mux4; 【例6-11】 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux4 IS PORT (i0, i1, i2, i3, a, b : IN STD_LOGIC; q : OUT STD_LOGIC);

文档评论(0)

gmomo-lt + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档

相关课程推荐