[语法应用.ppt

  1. 1、本文档共54页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
[语法应用

13、VHDL语言的库文件 library IEEE; use IEEE.numeric_bit.all; entity Adder4_v2 is port(A, B: in unsigned(3 downto 0); Ci: in bit; -- 输入 S: out unsigned(3 downto 0); Co: out bit); -- 输出 end Adder4_v2; architecture overload of Adder4_v2 is signal Sum5: unsigned(4 downto 0); begin Sum5 <= '0' & A + B + unsigned'(0=>Ci); -- 加法器 S <= Sum5(3 downto 0); Co <= Sum5(4); end overload; 无符号矢量4位加法器的VHDL程序 Evaluation only. Created with Aspose.Slides for .NET 3.5 Client Profile 5.2.0.0. Copyright 2004-2011 Aspose Pty Ltd. 13、VHDL语言的库文件 library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity Adder4_v3 is port(A, B: in std_logic_vector(3 downto 0); Ci: in std_logic; --输入 S: out std_logic_vector(3 downto 0); Co: out std_logic); --输出 end Adder4_v3; architecture overload of Adder4_v3 is signal Sum5: std_logic_vector(4 downto 0); begin Sum5 <= '0' & A + B + Ci; --adder S <= Sum5(3 downto 0); Co <= Sum5(4); end overload; Evaluation only. Created with Aspose.Slides for .NET 3.5 Client Profile 5.2.0.0. Copyright 2004-2011 Aspose Pty Ltd. 14、用VHDL进程模拟寄存器和计数器 process(CLK) begin if CLK’event and CLK = ‘1’ then Q1 <= Q3 after 5 ns; Q2 <= Q1 after 5 ns; Q3 <= Q2 after 5 ns; end if ; end process; process(CLK) begin if CLK’event and CLK = ‘1’ then if CLR = ‘1’ then Q <= “0000”; elsif Ld = ‘1’ then Q <= D; end if ; end if; end process; Evaluation only. Created with Aspose.Slides for .NET 3.5 Client Profile 5.2.0.0. Copyright 2004-2011 Aspose Pty Ltd. 14、用VHDL进程模拟寄存器和计数器 process(CLK) begin if CLK’event and CLK = ‘1’ then if CLR = ‘1’ then Q <= “0000”; elsif Ld = ‘1’ then Q <= D; elsif LS = ‘1’ then Q <= Q(2 downto 0) & Rin; end if ; end if; end process; signal Q: unsigned (3 downto 0); process(CLK) begin if CLK’event and CLK = ‘1’ then if ClrN = ‘0’ then Q <= “0000”; elsif En = ‘1’ then Q <= Q+1; end if ; end if; end process; Eval

文档评论(0)

wendan118 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档