计算机组成原理课后答案(第二版)_唐朔飞_第三章.pptVIP

计算机组成原理课后答案(第二版)_唐朔飞_第三章.ppt

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
系 统 总 线 第 三 章 1. 什么是总线?总线传输有何特点?为了减轻总线的负载,总线上的部件都应具备什么特点? 解:总线是多个部件共享的传输部件; 总线传输的特点是:某一时刻只能有一路信息在总线上传输,即分时使用; 为了减轻总线负载,总线上的部件应通过三态驱动缓冲电路与总线连通。 4. 为什么要设置总线判优控制?常见的集中式总线控制有几种?各有何特点?哪种方式响应时间最快?哪种方式对电路故障最敏感? 解:总线判优控制解决多个部件同时申请总线时的使用权分配问题; 常见的集中式总线控制有三种: 链式查询、计数器查询、独立请求; 特点:链式查询方式连线简单,易于扩充,对电路故障最敏感;计数器查询方式优先级设置较灵活,对故障不敏感,连线及控制过程较复杂;独立请求方式判优速度最快,但硬件器件用量大,连线多,成本较高。 5. 解释概念:总线宽度、总线带宽、总线复用、总线的主设备(或主模块)、总线的从设备(或从模块)、总线的传输周期、总线的通信控制。 解: 总线宽度——指数据总线的位(根)数,用bit(位)作单位。 总线带宽——指总线在单位时间内可以传输的数据总量,相当于总线的数据传输率,等于总线工作频率与总线宽度(字节数)的乘积。 总线复用——指两种不同性质且不同时出现的信号分时使用同一组总线,称为总线的“多路分时复用”。 总线的主设备(主模块)——指一次总线传输期间,拥有总线控制权的设备(模块); 总线的从设备(从模块)——指一次总线传输期间,配合主设备完成传输的设备(模块),它只能被动接受主设备发来的命令; 总线的传输周期——总线完成一次完整而可靠的传输所需时间; 总线的通信控制——指总线传送过程中双方的时间配合方式。 6. 试比较同步通信和异步通信。 解: 同步通信——由统一时钟控制的通信,控制方式简单,灵活性差,当系统中各部件工作速度差异较大时,总线工作效率明显下降。适合于速度差别不大的场合; 异步通信——不由统一时钟控制的通信,部件间采用应答方式进行联系,控制方式较同步复杂,灵活性高,当系统中各部件工作速度差异较大时,有利于提高总线工作效率。 8. 为什么说半同步通信同时保留了同步通信和异步通信的特点? 解: 半同步通信既能像同步通信那样由统一时钟控制,又能像异步通信那样允许传输时间不一致,因此工作效率介于两者之间。 10. 什么是总线标准?为什么要设置总线标准?目前流行的总线标准有哪些?什么是即插即用?哪些总线有这一特点? 解: 总线标准——可理解为系统与模块、模块与模块之间的互连的标准界面。 总线标准的设置主要解决不同厂家各类模块化产品的兼容问题; 目前流行的总线标准有:ISA、EISA、PCI等; 即插即用——指任何扩展卡插入系统便可工作。EISA、PCI等具有此功能。 11. 画一个具有双向传输功能的总线逻辑图。 解:此题实际上是要求设计一个双向总线收发器,设计要素为三态、方向、使能等控制功能的实现,可参考74LS245等总线缓冲器芯片内部电路。 逻辑图如下:(n位) 错误的设计: 12. 设数据总线上接有A、B、C、D四个寄存器,要求选用合适的74系列芯片,完成下列逻辑设计: (1) 设计一个电路,在同一时间实现D→A、D→B和D→C寄存器间的传送; (2) 设计一个电路,实现下列操作: T0时刻完成D→总线; T1时刻完成总线→A; T2时刻完成A→总线; T3时刻完成总线→B。 解: (1)采用三态输出的D型寄存器74LS374做A、B、C、D四个寄存器,其输出可直接挂总线。A、B、C三个寄存器的输入采用同一脉冲打入。注意-OE为电平控制,与打入脉冲间的时间配合关系为: 现以8位总线为例,设计此电路,如下图示: (2)寄存器设置同(1),由于本题中发送、接收不在同一节拍,因此总线需设锁存器缓冲,锁存器采用74LS373(电平使能输入)。节拍、脉冲配合关系如下: 节拍、脉冲分配逻辑如下: 节拍、脉冲时序图如下: 以8位总线为例,电路设计如下: (图中,A、B

文档评论(0)

***** + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档

相关课程推荐