8路彩灯控制器实验报告.doc

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
《8路彩灯控制电路设计》 课程设计报告 专 业: 班 级: 姓 名: 学 号: 同组成员: 指导教师: 目 录 一、课程设计目的.........................................3 二、课程设计要求.........................................3 (一)、彩灯控制器设计要求.............................3 (二)、课程设计总体要求...............................3 三、课程设计内容.........................................3 (一)、设计原理分析....................................3 (二)、器件选择........................................5 (三)、具体电路连线及设计思路焊接电路板思路及过程 (一)、设计思路及电路图 (二)、设计及焊接过程 (三)、电路板展示与 一、课程设计目的 1.巩固数字电路技术基础课程所学的理论知识,将学习到的理论知识实际,所谓学以致用这几种芯片的应用结合起来。自己的手能力协作能力、如下电路实现该功能 2.根据所提供的实验器材各模块总体思路如下: (1).时钟信号产生和控制(clock)电路:利用555定时器接对应的电阻、电容后形成多谐振荡器,从而产生脉冲信号。 公式;f=1/((R1+2R2)CIn2); T=((R1+2R2)CIn2; (2).花型控制电路:由两片74LS161 4位二进制同步计数器和7个与非门一个花色两个74LS194在演示时相互对立的,每个74LS194的灯在每花色里不同的脉冲下输入来控制每脉冲下方向以及移动状态。因为第一个为初始状态,亮第一个,所以第八个状态时第一个在下一个状态,将Q3与Q0的与非门接在两个自己的,使其异步置零这时全部熄灭之后进入下一个花色演示即将与非门接到异步置零端上 3.整个花型控制电路设计如下: 我将8路灯上下四个摆成了两个菱形。所示:亮,然后熄灭菱形依次亮两个灯,然后这两个绕着两个菱形两圈后,灯同时熄灭一个菱形逐一,一个菱形的亮,上面熄灭等下面灯都起,全部熄灭。1 10000000 2 11000000 301100110 400110011 5 11101000 611001100 701100110 800110011 9 000000000000000 4.花色表格表示的状态,亮则为为0则每种花色的状态如下: 三种花型每种花色演示一遍,之后全部熄灭,待三种花型循环一次之后,进入下一个循环。 (二)、器件选择 74LS161(四位二进制同步计数器)-------------------------------- 2个; 74LS194(移位寄存器)---------------------------------------- 2个; 74LS04------------------------------------------------------- 4个; 74LS20----------------------------------------- --------------7个; LED发光二极管--------------------------------- -------------- 8个; 555---------------------------------------------------------- 1个; 电容:4.7μf-------------------------------------------------- 1个; 电容:0.01μf------------------------------------------------- 1个; 电阻:

文档评论(0)

企管文库 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档