8选1数据选择器.docxVIP

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

学生实验报告

实验课名称:VHDL硬件描述语言

实验项目名称:8选1数据选择器

专业名称:电子科学与技术

班级:

学号:

学生姓名:

教师姓名:

_2022_年_11_月_8_日

组别同组同学

实验日期2022年11月8日实验室名称成绩

一、实验名称:

8选1数据选择器

二、实验目的与要求:

实验目的:

设计一个8选1的数据选择器,初步掌握QuartusII软件的使用方法以及硬件编程下

载的基本技能。

实验要求:

通过VHDL编程,实现一个数据选择器,要求有8位数据输入端,1位数据输出端,通过3位地址输入信号寻址,并具有输出使能功能。首先在QuartusII上进行功能和时序仿真,之后通过器件及其端口配置下载程序到SOPC开辟平台中。

如图所示:

d0~d7为数据输入端;

g为使能端,高电平有效;

a[2..0]为地址输入端;

y为输出端。

注:要求非使能或者是无效地

址状态时,y输出0。

首先在QuartusII上进行功能和时序仿真,之后通过器件及其端口配置下载程序到SOPC开辟平台中。在硬件实现中,要求:

1.用实验平台的拨动开关实现8位输入信号(d0~d7):

注:要求使用最右面8个开关。

2.用实验平台的按键实现地址信号和使能信号:

注:采用模式0的输入方式,并使用最左边的键6~键8三个按键实现地址输入,以及键3实现使能信号。(模式0的I/O设置见附录)

3.输出采用LED发光阵列的LED12。

三、实验内容:

1.打开QuartusII软件,建立一个新的工程:

1)单击菜单ewProjectWizard…

2)输入工程的路径、工程名以及顶层实体名。

3)单击Next按钮,由于我们建立的是一个空的项目,所以没有包含已有文件,单击Next继续。

4)设置我们的器件信息:

5)单击Next,指定第三方工具:这里我们不指定第三方EDA工具,单击Next后结束工程建立。

2.建立VHDL文件:

1)单击菜单项,选择弹出窗口中的VHDLFile项,单击OK按钮以建立打

开空的VHDL文件,注意此文件并没有在硬盘中保存。

2)在编辑窗口中输入VHDL源文件并保存,注意实体名、文件名必须和建立工程时所设定的顶层实体名相同。(参考程序附后)

3)编译工程

单击开始编译,编译过程中可能会显示若干出错消息,参考提示原因对程序进行修改直到编译彻底成功为止。

3.建立矢量波形文件

1)单击命令,在弹出的对话框中选择OtherFiles页面中的VectorWaveform

File项,打开矢量波形文件编辑窗口:

2)双击窗口左边空白区域,打开InsertNodeorBus对话框:

3)单击NodeFinder…按钮,打开以下对话框,选择Filter下拉列表中的Pins:all,并点击List按酒以列出所有的端口,通过按钮把这些端口加入到右面的窗口中,单击OK完成端口的添加。

4)回到波形编辑窗口,对所有输入端口设置输入波形,具体可以通过左边的工具栏,或者通过对信号单击鼠标右键的弹出式菜单中完成操作,最后保存次波形文件。

4.进行功能仿真

1)单击Settings…,在弹出对话框中做以下设置:

如图所示,Simulationmode设置为Functional,即功能仿真。指定仿真波形文件后单击OK完成设置。

2)单击以获得功能仿真网络表。

3)单击进入仿真页面。

5.进行时序仿真

如果功能仿真无误,可进入时序仿真,时序仿真是增加了相关延迟的仿真,是最接近实际情况的仿真。

1)单击Settings…,在弹出对话框中做以下设置:

如图所示,Simulationmode设置为Timing,即时序仿真。指定仿真波形文件后单击OK完成设置。

2)单击进入仿真页面,如果在时序上也没有问题,就可

以进入下载工作了。

6.器件的下载

1)指定器件引脚:

单击

文档评论(0)

凌老大 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档