习题答案公务员考试资格考试认证教育专区.ppt

习题答案公务员考试资格考试认证教育专区.ppt

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

CPLD技术及应用*第3章VHDL入门EDA技术与VHDL

(第二版)习题解答process(s0,s1,a,b,c,d)beginifs0=0ands1=0theny=a;elsifs0=1ands1=0theny=b;elsifs0=0ands1=1theny=c;elsey=d;endif;endprocess;用IF_THEN语句:architecturehdlarchofmux41issignalstmp:std_logic_vector(1downto0);Beginstmp=s1s0;process(s0,s1,a,b,c,d)begincasestmpiswhen00=y=a;when01=y=b;when10=y=c;whenothers=y=d;endcase;endprocess;使用CASE语句:3-3.图3-18所示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s=0和1时,分别有y=a和y=b。试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。图3-18双2选1多路选择器参考答案Libraryieee;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYVOTEISPORT(a1,a2,a3,s0,s1:INSTD_LOGIC;?outy:?out?std_logic);ENDVOTE;architecturehdlarchofMUXKissignaltmp:std_logic;beginprocess(s0,a2,a3)beginifs0=0thentmp=a2;elsetmp=a3;endif;endprocess;process(s1,a1,tmp)beginifs1=0thenouty=a1;elseouty=tmp;endif;endprocess;endhdlarch;3-4.给出1位全减器的VHDL描述。要求:(1)首先设计1位半减器,然后用例化语句将它们连接起来,图3-20中h_suber是半减器,diff是输出差,s_out是借位输出,sub_in是借位输入。(2)以1位全减器为基本硬件,构成串行借位的8位减法器,要求用例化语句来完成此项设计(减法运算是x–y-sun_in=diffr)。图3-321位全减器t0t1t2libraryieee;useieee.std_logic_1164.all;entityh_suberisport(x,y:instd_logic;diff,s_out:outstd_logic);endentity;architecturehdlarchofh_suberisbeginprocess(x,y)begindiff=xxory;s_out=(notx)andy;endprocess;endhdlarch;1位半减器:LIBRARYieee;USEieee.std_logic_1164.all;ENTITYsuberIS--1位全减器port(x,y:INSTD_LOGIC;sub_in:INSTD_LOGIC;diffr:OUTSTD_LOGIC;sub_out:OUTSTD_LOGIC);ENDsuber;ARCHITECTUREscharchOFsuberIScomponenth_suberPORT(x,y:INSTD_LOGIC;diff,s_out:OUTSTD_LOGIC)

文档评论(0)

celkhn5460 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档