接合modelsim做仿真quartusii6 0在exam下工程count.pdfVIP

接合modelsim做仿真quartusii6 0在exam下工程count.pdf

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
1.启动 QuartusII6.0,在 D:\exam 下新建工程 count。 2.执行 Project 菜单下的 Add/Remove Files in Project... 命令,将 D:\exam 下的 count.v 文件 加到工程中来。 3.执行 Assignments 菜单下的 Device... 命令,启动器件设置 框。如果所用的实验板为 MC570 则选 中 EPM570T100C5 器件,如果是 MC240 实验板,则选中 EPM240T100C5 器件。 4. 点击 框上的 “Device& Pin Options...”按钮,在随后弹出的 框上,进入 Unused Pins 页, 将 Reserve all unused pins 设为 As input tri-stated,点击 “确定”按钮。 5.在左侧的 Category 窗口里,展开 EDA Tool Setings 组,选中 Simulation 项, 框的左侧将弹 出有关于 Simulation 的设置项。在 Tool name 一栏,选择 ;Format for outpu list 一栏,选择 Verilog;Time scale 一栏,选择 1ns。点击“OK”按钮。 6.在 Assignments 菜单下,点击 Pins 命令。在 Pin nner 中,按下图所示,分配管脚。 7.在 Project Navigator 窗口中,将页面切换到文件管理页 (中间的),选中 Device Design Files 组 中的 count.v 文件,点击右键,在弹出菜单中点击 Set as Top-level Entity 命令,将该文件设为 顶层设计文件。在 Processing 菜单下,点击 Start Compilation 命令,待编译完成后, QuartusII6.0。将 D:\exam\simulation\ 下的 count_v.sdo 文件 到 D:\exam 下。 8.启动 6.0SE 软件,在 File 菜单下,点击 Close 命令,关闭当前的 Project。 9.在 Compile 菜单下,点击 Compile... 命令。在随后弹出的 框中,将 “查找范围”设在 C:\al \quartus60\eda\sim_lib 文件下,选中该文件夹下的 220model.v、220model.vhd、 220model_87.vhd、220pack.vhd、al _mf.v、al _mf.vhd、al _mf_87.vhd、 al _mf_components.vhd、al _primitives.v、al _primitives_components.vhd 等文件, 点击 “Compile”按钮,开始编译,忽略报错。待编译完成后,再次点击 “Compile”按钮,重新编译 一遍。 10.选中 maxii_atoms.v、maxii_atoms.vhd、maxii_components.vhd 三个文件,点击 “Compile”按钮, 开始编译,忽略报错。待编译完成后,再次点击 “Compile”按钮,重新编译。完成后,点击 “Done” 按钮, 框。 11.在 File 菜单下,点击 Open 命令,打开 D:\exam 文件夹下的 counter.mpf 文件。 12.在 Workspace 窗口里,选中 count.v 文件,点击右键。在弹出菜单中,点击 Remove from Project 命令,将其从当前的 Project 中删除。 13.在 Workspace 窗口的空白处点击右键,在弹出菜单中,点击 Add to Project 下的 Existing Files... 命令。 14.在随后弹出的 框中,使用 “Browse...”按钮,将 File Name 一栏设为 D:/exam/simulation/ /count.vo,点击 “OK”按钮。 15.在 Workspace 窗口的空白处,点击右键。在弹出菜单中,点击 Compile 下的 Compile All 命令, 编译当前 Project 中的所有文件。 16.在 Simulate 菜单下,点击 Start Simulation... 命令,启动仿真。 17.在随后弹出的 框中,选中

文档评论(0)

wx5620 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档