数字系统设计教学资料-第四章 时序逻辑电路new.pptVIP

数字系统设计教学资料-第四章 时序逻辑电路new.ppt

  1. 1、本文档共64页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
第四章 时序逻辑电路;本章内容 概述 锁存器的设计 触发器的设计 寄存器的设计 计数器的设计 乘法器的设计;时序逻辑电路 时序逻辑电路是一种输出不仅与当前的输入有关,而且与其输出状态的原始状态有关的电路。相当于在组合逻辑的输入端加上了一个反馈输入,在其电路中有一个存储电路,可以将输出的状态保持住。;时序逻辑电路——有记忆功能;由于时序逻辑电路,包含的存储电路,因此不能采用组合逻辑电路的描述方式。时序电路引进了现态和次态的概念。使用逻辑表达式进行描述。描述方式如下:;时序电路的分类;同步时序逻辑电路 所有的存储元件都在时钟脉冲CP统一控制下,用触发器作为存储元件。只有一个“时钟信号”,所有的内部存储器,只会在时钟的边沿时候改变。;优点: 简单。每个电路里的运算必须要在时钟的两个脉冲之间固定的间隔内完成,称为一???时钟周期。满足该条件下的电路是可靠的。;异步时序逻辑电路 异步时序逻辑是设计上困难度最高的。最基本的储存元件是锁存器。锁存器可以在任何时间改变它的状态,依照其它的锁存器信号的变动,它们新的状态就会被产生出来。;VHDL 设计风格;Processes in VHDL;Anatomy of a Process;使用敏感信号列表的进程; 进程;锁存器的设计;锁存器 为了与触发器相类比,我们先介绍锁存器。锁存器是一种电平敏感的寄存器,典型的例子有RS锁存器与D锁存器。;Library ieee; Use ieee.std_logic_1164.all; Entity SR_latch2 is port ( S, R: in std_logic ; Q, Qbar :out std_logic); End SR_latch2; Architecture behav of R_latch2 is Begin process ( R , S ) is variable rs: std_logic_vector(1 downto 0); begin rs:=R&S; case rs is when "00" => Q<='1'; Qbar<='1'; when "01" => Q<='1'; Qbar<='0'; when "10" => Q<='0'; Qbar<='1'; when others=>null; end case; end process; end behav;;由图可见,由于在时序仿真中有器件的延时,锁存器的状态变化迟于输入信号的变化;;D锁存器的仿真波形如下:;D锁存器 D锁存器与RS锁存器类似,只是在功能上实现的目的不同。;触发器 触发器是指边沿触发的寄存器,常见的有D型,JK型,T型。在描述触发器前要注意时钟上升沿的描述;Clk ;触发器的VHDL描述(上升沿);触发器的仿真波形如下;时钟边沿检测的三种方法;LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY flipflop IS PORT ( D, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC) ; END flipflop ; ARCHITECTURE behavioral OF flipflop IS BEGIN PROCESS ( Clock ) BEGIN IF Clock'EVENT AND Clock = '1' THEN Q <= D ; END IF ; END PROCESS ; END behavioral ; ;LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY flipflop IS PORT ( D, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC) ; END flipflop ; ARCHITECTURE behavioral2 OF flipflop IS BEGIN PROCESS ( Clock ) BEGIN IF rising_edge(Clock) THEN Q <= D ; END IF ; END PROCESS ; END behavioral2; ;LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY flipflop IS PORT ( D, Clock :

您可能关注的文档

文档评论(0)

1243595614 + 关注
实名认证
文档贡献者

文档有任何问题,请私信留言,会第一时间解决。

版权声明书
用户编号:7043023136000000

1亿VIP精品文档

相关文档