eda 三人表决器.pptVIP

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
eda 三人表决器

三人表决器 姓名:学号: 目录 设计要求 外部框图及功能表 思路 源程序说明 波形仿真及结果分析 设计要求 有A,B,C三名裁判,当有两名或两名以上裁判认为选手合格时才发出合格信号,且A具有否决权。 外部结构框图及功能表 源程序说明 思路 波形仿真及分析结果 分析结果:输入“101”、“110”、“111”时输出为‘1’,否则输出为‘0’,与设计要求相符。 总结 1.在这次的程序设计中,基本掌握了VHDL程序的基本结构,对软件的运用也更熟练了一些。 2.学会了一些编程语句,我觉得最关键的还是要有一个清晰的思路来进行设计。 3.程序设计中难免会遇到问题,我们可以利用软件来帮助我们找出问题,然后再进行修改,同时对这些问题进行记录以免以后犯同样的错误。 * * * * 1 1 1 1 1 0 1 1 1 1 0 1 0 0 0 1 0 1 1 0 0 0 1 0 0 1 0 0 0 0 0 0 y a(2) a(1) a(0) 输出 输入 功能真值表 If(a(0)='1‘ and a(1)='0‘ and a(2)='0') Y<=‘0’; elsif(a(0)='0') then y<='0'; Else y<='1'; * *

文档评论(0)

ayhkjq + 关注
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档