数字系统设计与VerilogHDL(第8版)课件 第7章.pptxVIP

数字系统设计与VerilogHDL(第8版)课件 第7章.pptx

  1. 1、本文档共55页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
数字系统设计与Verilog HDL (第8版);第7章 Verilog设计的层次与风格;Verilog设计的描述风格 ;在Verilog程序中可通过如下方式描述电路的结构 调用Verilog内置门元件(门级结构描述) 调用开关级元件(晶体管级结构描述) 用户自定义元件UDP(也在门级);Verilog的 内置门元件 ;门元件例化;门元件例化;【例7.1】 调用门元件实现的4选1 MUX module mux4_1a(out,in1,in2,in3,in4,s0,s1); input in1,in2,in3,in4,s0,s1; output out; wire s0_n,s1_n,w,x,y,z; not (sel0_n,s0),(s1_n,s1); and (w,in1,s0_n,s1_n),(x,in2,s0_n,s1), (y,in3,s0,s1_n),(z,in4,s0,s1); or (out,w,x,y,z); endmodule;数据流描述方式主要使用持续赋值语句,多用于描述组合逻辑电路,其格式为: assign LHS_net=RHS_expression; 右边表达式中的操作数无论何时发生变化,都会引起表达式值的重新计算, 并将重新计算后的值赋予左边表达式的net型变量。 ;【例7.2】 数据流描述的4选1 MUX module mux4_1b( input in1,in2,in3,in4,s0,s1, output out); assign out=(in1 & ~s0 & ~s1)|(in2 & ~s0 & s1)| (in3& s0 & ~s1)|(in4 & s0 & s1); endmodule; 数据流描述 ;7.3 数据流描述与行为描述 ;采用行为描述方式时需注意;【例7.4】 用case语句描述的4选1 MUX module mux4_1b(out,in1,in2,in3,in4,s0,s1); input in1,in2,in3,in4,s0,s1; output reg out; always@(*) //使用通配符 case({s0,s1}) 2'b00:out=in1; 2'b01:out=in2; 2'b10:out=in3; 2'b11:out=in4; default:out=2'bx; endcase endmodule;7.4 不同描述风格的设计 ; 调用门元件实现的1位全加器 ;数据流描述的1位全加器;行为描述的1位全加器;采用层次化方式设计1位全加器 ;用模块例化方式设计的1位全加器;4位加法器设计;module add4_jl (input cin, input[3:0] a,b, output[3:0] sum, output cout); full_add1 f0(a[0],b[0],cin,sum[0],cin1); //级联描述 full_add1 f1(a[1],b[1],cin1,sum[1],cin2); full_add1 f2(a[2],b[2],cin2,sum[2],cin3); full_add1 f3(a[3],b[3],cin3,sum[3],cout); endmodule;用generate for循环描述的8位级连加法器 module add8_gene #(parameter SIZE=8) (input cin, input[SIZE-1:0] a,b, output[SIZE-1:0] sum, output cout); wire[SIZE:0] c; assign c[0]=cin; generate genvar i; for(i=0;i<SIZE;i=i+1) begin : add full_add1 fi(a[i],b[i],c[i],sum[i],c[i+1]); end endgenerate assign cout=c[SIZE]; endmodule;7.5 多层次结构电路的设计;1.图形与文本混合设计 ;2.文本描述; 对于上面的模块调用,可采用位置对应的方式,即调用时模块端口列表中信号的排列顺序与模块定义时端口列表中的信号排列顺序相同;也可以采用信号名对应方式,此时不必按顺序。 add8 u3(accin,accout,cin,sum,cout); //例化add8子模块,位置关联 reg8 u4(clk,clear,sum,accout); //例化reg8子模块,位置

文档评论(0)

钟离 + 关注
实名认证
文档贡献者

资料收集自互联网,若有侵权请联系删除,谢谢~

版权声明书
用户编号:8036120077000004

1亿VIP精品文档

相关文档