FPGA入门指南:用Verilog HDL语言设计计算机系统.pdf-张文挺-2021年版-人民邮电出版社

合作推广
©本内容由知传链提供

FPGA入门指南:用Verilog HDL语言设计计算机系统.pdf-张文挺-2021年版-人民邮电出版社

  1. 1、本内容来自版权合作机构,您在本站购买的阅读使用权仅限于您本人阅读使用,您不得/不能给任何第三方使用,由此造成的一切相关后果本平台保留向您追偿索赔的权利!版权所有,后果自负!
  2. 2、本文档为正版电子图书,虚拟物品付费之后概不接收任何理由退款。
FPGA(现场可编程门阵列)是在PAL、GAL等可编程器件的基础上进一步发展的产物。它作为专用集成电路(ASIC)领域中的一种半定制电路出现,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。本书从数字电路基础讲起,接着向读者介绍硬件描述语言VerilogHDL的用法,然后选择8位计算机系统——GAMEBOY掌上游戏机作为实战项目,向大家详细介绍CPU内核和外设的架构和设计,引导读者设计兼容的硬件。本书介绍了基本的计算机架构;如何实现CPU基本的计算功能,

用VerilagHDLi语言设计计算机系统IFPGA入门指南张文挺中国工信出版集团人民邮电出版社POSTS&TELECOMPRESS用VerilagHDLi语言设计计算机系统IFPGA入门指南张文挺人民邮电出版社北京图书在版编目(CIP)数据FPGA入门指南:用V

文档评论(0)

人民邮电出版社(知传链分发) + 关注
官方认证
内容提供者

该用户很懒,什么也没介绍

认证主体知传链
IP属地四川
统一社会信用代码/组织机构代码
22222403MA16M69T22

1亿VIP精品文档免费下

相关文档

相关课程推荐